*   >> läser Utbildning artiklar >> science >> programming

Exempel på Shifters Codes

Elektronik och kommunikation delar med funktionsOmdömen

Detta program är exempel på bra och inget fel, och program som körs med en korrekt och ha en tillförlitlig utgångar. Omdömen

--- shifter vänster ---

bibliotek IEEE, Omdömen

Använd IEEE.STD_LOGIC_1164.ALL, Omdömen

Använd IEEE.STD_LOGIC_ARITH.ALL, Omdömen

Använd IEEE. STD_LOGIC_UNSIGNED.

ALL;

enhet shiftleft_8 är

port (A, B: i STD_LOGIC_VECTOR (7 downto 0);

outleft: ut STD_LOGIC_VECTOR (7 downto 0);

zeroact: ut std_logic); Omdömen

end shiftleft_8,

arkitektur strukturell av shiftleft_8 är Omdömen

signal signa6, signa7, zact: STD_LOGIC_VECTOR (7 downto 0) ,

komponent shifter_stage1 är

Port (IN25: i STD_LOGIC_VECTOR (7 downto 0); Omdömen

stage1: i std_logic;

out10: out STD_LOGIC_VECTOR ( 7 downto 0)); Omdömen

ändkomponent, Omdömen

komponent shifters_stage2 är Omdömen

Port (in27: i STD_LOGIC_VECTOR (7 downto 0); Omdömen

stage2: i std_logic;

out12: ut STD_LOGIC_VECTOR (7 downto 0)); Omdömen

ändkomponent,

komponent shifters_stage4 är

Port (in29: i STD_LOGIC_VECTOR (7 downto 0);

stage4: i std_logic;

out14: ut STD_LOGIC_VECTOR (7 downto 0)); Omdömen

ändkomponent, Omdömen

börja

shiftleft0: shifter_stage1 port karta (A, B

(0), signa6);

shiftleft1: shifters_stage2 port karta (signa6, B

(1), signa7); Omdömen

shiftleft2: shifters_stage4 port karta (signa7, B

(2), zact); Omdömen

outleft

zeroact

när zact = "00000000" Omdömen

annat '0';

slutet strukturella,

--- shifter höger ---

bibliotek IEEE, Omdömen

Använd IEEE.

STD_LOGIC_1164.ALL,

användning IEEE.STD_LOGIC_ARITH.ALL,

Använd IEEE.STD_LOGIC_UNSIGNED.ALL, Omdömen

enhet shiftright_8 är Omdömen

Port (D, E: i STD_LOGIC_VECTOR (7 downto 0);

direkt: out STD_LOGIC_VECTOR (7 downto 0);

zeroact: ut std_logic); Omdömen

end shiftright_8,

arkitektur strukturell av shiftright_8 är Omdömen < p> signal signa15, signa16, zact: STD_LOGIC_VECTOR (7 downto 0);

komponent shiftersright_stage1 är Omdömen

Port (in31: i STD_LOGIC_VECTOR (7 downto 0); Omdömen

stage1right : i std_logic;

out16: ut STD_LOGIC_VECTOR (7 downto 0)); Omdömen

ändkomponent, Omdömen

komponent shiftersright_stage2 är

Port (in33: i STD_LOGIC_VECTOR (7 downto 0); Omdö

Page   <<       [1] [2] >>
Copyright © 2008 - 2016 läser Utbildning artiklar,https://utbildning.nmjjxx.com All rights reserved.